博客 / 列表

星星上的柳樹 - 硬件描述語言解讀

“硬件描述語言是連接邏輯與電路、抽象與實現的關鍵設計語言。” 在數字電路設計的世界裏,硬件描述語言(HDL, Hardware Description Language) 是一類非常特殊的編程語言。與傳統的軟件編程語言不同,HDL 不僅能描述功能邏輯,還能建模電路的並行性與時間特性,因此它被廣泛應用於芯片設計與驗證。 HDL 的獨特之處 普通編程語言關注的是指令順序和數據處理,而 H

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - Verilog端口類型解析

“理解端口類型,是Verilog模塊設計的關鍵。” 在Verilog設計中,端口是模塊與外界交互的橋樑。不同類型的端口——輸入、輸出與雙向——在數據流向與信號驅動方式上有着嚴格的規則。若定義不當,不僅會引發編譯錯誤,還可能導致仿真行為與硬件實現不一致。掌握Verilog端口類型的使用原則,能幫助設計者構建結構清晰、邏輯可靠的電路系統。 1、端口類型與信號流向Verilog模塊的端口可分為三類

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - EDA 縮寫全解析系列 | 第 1 周:A–I

在 VLSI、數字 IC、驗證或物理設計的世界裏,工程師的日常語言幾乎被縮寫“統治”——有些耳熟能詳,有些晦澀神秘,還有些讓新人望而生畏。本系列將從 A 到 Z,把這些高頻縮寫逐一拆解成“真正能聽懂的工程語言”,幫助你係統補齊基礎概念。 ✦ 01 從 A 到 I:九個最常遇到、卻最容易用錯的縮寫 • ATPG:自

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 物理驗證:你選哪款 DRC/LVS

“物理驗證是通往 tape-out 的最後一關。” 當工藝推進至 7 nm、5 nm 乃至更先進節點,設計規則變得愈發複雜、模塊層級更多、混合信號/3D 封裝挑戰加劇。此時, DRC (Design Rule Check) 與 LVS (Layout Versus Schematic) 這兩項物理驗證工作不僅是良率保障,更是與 foundry 簽入流程中不可繞開的一環。選對工具,能讓你少走彎

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 極簡邊緣AI:谷歌發佈 Coral NPU IP

“一個真正開放的 NPU 版本,即將改變邊緣 AI 的遊戲規則。” 在 AI 算力加速下,邊緣設備(例如可穿戴、智能家電、無人機)越來越需要低功耗、高性能、安全可靠的神經處理單元(NPU)。為此, 谷歌(Google Research)決定將其 Coral 品牌下的新 NPU IP 免費開源,面向整個行業開放;而 Synaptics 則成為首家將該 IP 真正整合於自己 Astra SL26

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 半導體生態:七層供應鏈揭秘

“芯片背後,是一個龐大且脆弱的生態系統。” 今天我們從高處俯瞰芯片產業:從藍圖誕生,到晶圓生產,再到包裝測試,貫穿設計、材料、工具、IP。每一個環節都有關鍵角色參與。認識這七層,你才能真正理解“芯片為何如此珍貴、為何生產一斷就慌亂”的背後邏輯。 下面按照七層結構,一層一層地展開解讀。 ✤ 1 ✤晶圓代工廠 在這一級,典型代表包括 TSMC、Samsung、UMC、SMIC

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 功耗網路籤核工具大盤點

“功耗/IR/EM 分析是芯片籤核不可或缺的一環。” 隨着製程節點縮減、堆棧 3D-IC 與片上系統(SoC)複雜度提升,芯片設計中功耗送配網絡 (PDN ) 的 IR 壓降、 EM 風險與熱耦合效應成為性能與可靠性籤核的瓶頸。早期忽視這些因素可能導致後段 tape-out 失敗或壽命衰減。為此,業界推出了多款專用於功耗/IR/EM 籤核的工具,幫助設計團隊在流片前完成全片分析、根因定位與閉

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 芯片騰飛

“芯片行業,不只是在“還好”,而是在加速。” 當話題在“科技放緩”與“AI 大熱”之間搖擺時,真實數據卻講出了不同的故事:在 2025 年第二季度,全球半導體市場規模逼近 1800 億美元,上季度環比增長 7.8%,同比更是飆升 19.6%。這已經是連續六個季度年增率超過 18%。 換句話説:芯片行業不僅沒有降温,反而在以新的方式燃燒。 ✤ 1 ✤市場規模與增長態勢 從來

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 常見觸發器類型解析

“觸發器決定了數字電路的“節奏與記憶”。” 在數字系統中,觸發器(Flip-Flop)是構建時序邏輯電路的核心元件。它能夠存儲一個二進制狀態,並在時鐘或控制信號的作用下改變輸出。不同類型的觸發器在功能和用途上略有差異:有的僅在特定時鐘沿觸發狀態變化,有的支持置位、復位或翻轉操作。理解各種觸發器的特性,是掌握寄存器設計、計數器實現以及有限狀態機建模的基礎。 1、觸發器的基本概念觸發器是一種雙穩

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 誰在主導“芯片戰爭”

“芯片,不只是電子元件,更是國家角力的新戰場。” 過去三年,全球半導體產業如同棋盤上疾速變動的局面:美國推動芯片立法、拔高工具出口壁壘;台灣、韓國廠商擴張製造版圖;中國則在稀土、設備供應鏈端反擊。 參與者從“誰能做芯片”演變為“誰能控制關鍵節點”。那麼,在這場龐大的投資與戰略賽道中,誰是真正的領跑者? ✤ 1 ✤設計端:美國構築“堡壘” 設計(Fabless)是芯片價值鏈

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 智慧城市新腦:NVIDIA構建“AI工廠”模式

“城市不再只是鋼筋水泥的聚集體,而將成為真正“會思考”的系統。” 在巴塞羅那召開的 SCEWC 上,NVIDIA 面向城市領袖提出了一個大膽設想:將城市管理升級為 “AI 工廠”模式,將攝像頭、傳感器、數字模型匯成一個集中智能系統,實現從交通、氣候、安全到公共服務的主動治理。 NVIDIA 指出,這不僅僅是賣硬件,更是讓人工智能“觸手可及、經濟可行、規模可擴”的城市級應用。 ✦

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 2025–2030 年最緊缺的八大 IC 崗位

面對摩爾定律放緩、AI 和汽車電子爆發、Chiplet 與 3D-IC 持續改寫系統架構,半導體行業正在進入一個全新的競爭週期。技術路徑的變化,也正在深刻影響人才需求結構:行業不再只需要“通才”,而是更稀缺、也更關鍵的專業型工程師。 ✦ 01 技術範式轉變帶來崗位重構 算力需求攀升讓架構創新成為主戰場;先進封裝推

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 精準構建 IP 生態

在當今 IC 設計領域,IP 複用與生態構建正成為提升開發效率、降低成本與增強產品可靠性的核心方法。本篇博客將從標準接口、定製化、Chiplet 模型與生態化實踐等維度,為你精煉呈現該領域的最新洞察。 1、為何重視 IP 複用 + 生態構建? 複用已驗證 IP 模塊,可大幅縮短設計週期、節約資源並提升整體的可靠度,成為推動 SoC 快速迭代的關鍵策略。 2、推動 IP 複用的核心技術手段

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 解密數字設計中的IP核心:高效構建電子系統的關鍵積木

在當前複雜的數字設計領域,知識產權(Intellectual Property,IP)被廣泛用作集成電路(IC)與電子系統的“標準模塊”,有效提升了研發效率與創新能力。本文將全面解讀數字設計中的IP,從核心概念、類型與優勢解讀其在現代電子系統中的重要作用。 1、什麼是數字設計中的IP? 在數字設計語境下,IP通常指經過預設計與驗證的硬件模塊,可直接集成到更大型的系統設計中。這些

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 集成電路設計中的IP核心價值:加速創新的基石

在集成電路(IC)設計的世界裏,知識產權(Intellectual Property,簡稱 IP)已經成為推動創新與效率的關鍵力量。它不僅縮短了設計週期,還為工程師們提供了更多專注於差異化和前沿探索的空間。今天,就讓我們走進IC設計中的IP,揭示它的重要性與最佳實踐。 1、為什麼IP如此重要? 在複雜的IC設計過程中,IP扮演着“現成積木”的角色。它們是經過驗證、可複用的功能模

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 嵌軟與RTOS精要

嵌入式軟件與實時操作系統(RTOS)是現代IC系統不可或缺的核心部分。無論是驅動層、API設計,還是實時調度,它們都直接影響IC的性能、可靠性和使用體驗。如果你渴望系統提升技術深度,不妨在 EDA Academy 探索豐富的網課資源,一起驅動你的專業成長。 1、嵌入式軟件開發:模塊+仿真高效並行 嵌入式軟件是硬件運行的“大腦”,從簡單控制到複雜處理,都離不開它。 模塊化編程:將

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - IC系統測試實戰

在集成電路(IC)領域,系統級測試是確保產品可靠性與性能合格的關鍵環節。功能測試、性能測試與環境測試是全面驗證不可或缺的步驟。如若你期待結合理論與實操並迅速提升測試能力,EDA Academy 提供最新專業網課,是你成長與分享的理想平台。 1、功能測試:檢驗設計是否“按圖施工” 功能測試圍繞 IC 是否符合規格邏輯展開,是設計驗證的第一道關卡。 自動測試向量生成(ATPG):通

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 極致IC集成之道

在高性能系統設計中,IC 集成是至關重要的一環。如何選型、設計互連總線並保障信號完整性,決定了系統的穩定性與效率。作為IC行業精英提升能力的最佳平台,EDA Academy提供了海量專業課程、導師機會與收益計劃,助你掌握這門技術核心。 1、IC選型:奠定整合基石 精確選型:從性能指標、功耗兼容性到成本控制,都是決定IC選型的關鍵因素。現代數據庫與工具可幫助你高效比對與甄別。

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 優構芯片可靠出廠

1、製造之重,可“芯”可鑑 在集成電路設計中,“製造性”不是錦上添花,而是確保設計“可產出、可盈利”的關鍵。DFM(Design for Manufacturability)與 CMP(Chemical-Mechanical Planarization)正是保障芯片設計順利轉入量產的雙保險。 藉助 EDA Academy(網址:www.eda-academy.com),您可以深入學習這些職場

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 芯片省電實戰指南

在日益追求高性能與能效的半導體時代,Power 優化已成集成電路(IC)設計的核心競爭力。從提升性能和可靠性,到延長移動設備電池壽命、降低成本,先進的省電技術讓 IC 更加智能高效。 1、強健電源網格設計:穩定供電先鋒 為了確保芯片每塊區域穩定供電、減少電壓降,你需要構建強健的電源網格。藉助工具(如 Cadence Innovus)進行電網布局優化與 動態電壓降分析,可有效提升

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 精通CTS與低功耗時鐘設計

1、CTS在數字化時代的重要性 在數字化時代,IC(集成電路)設計日趨複雜,性能與功耗成為設計中的核心挑戰。時鐘樹合成(CTS,Clock Tree Synthesis)作為物理實現流程中的關鍵環節,其目標是建立一個時鐘分佈網絡,讓時鐘信號同步、穩定地傳遞至每個觸發器或寄存器。高效的CTS能夠顯著降低時鐘偏斜(skew)與抖動(jitter),保證芯片在高頻環境下仍能可靠運行 。 與此同時,

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 芯片實現路線圖

在集成電路(IC)設計中,“物理實現”是將抽象的邏輯設計落地為可生產佈局(Layout)的關鍵階段,其中包含floor-planning(佈局規劃)、placement(佈局佈置)、routing(佈線)與physical verification(物理驗證),共同實現性能、功耗、面積(PPA)的最優平衡。 下圖為典型的物理設計流程圖,從系統規格、功能邏輯設計,一直到最終佈局和驗證階段,一目瞭然地

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - 芯片落地之道

在現代集成電路(IC)設計中,“物理實現”是從邏輯構想到可製造佈局的重要橋樑。在這一階段,設計不僅要“看得見”,更要“用得好”。今天,就讓我們一起探索這一關鍵環節的奧秘。 1、起點:從邏輯到芯片的“落地” 物理實現,就是將經過綜合與驗證的邏輯設計轉換為符合製造規則、滿足性能和功耗要求的物理佈局——也就是IC的版圖,它關乎整體性能、功耗和可製造性。 2、五大關鍵挑戰,逐一攻克 時序收斂(

資訊 , 教程 , 知識 , 人工智能 , 深度學習

星星上的柳樹 - SI技術攻略:深入信號完整性分析

在高速 IC 設計過程中,信號完整性(SI)分析—特別是串擾噪聲與延遲分析—是確保電路高質量和可靠性不可或缺的步驟。 1、為什麼信號完整性分析如此關鍵? 信號完整性分析確保信號在芯片內部不遭失真、無誤傳播,直接影響 IC 的性能、功能與可靠性。串擾噪聲(crosstalk noise)和串擾延遲(crosstalk delay)是兩個主要挑戰: 串擾噪聲:當“施加路徑”(aggress

資訊 , 教程 , 知識 , 人工智能 , 深度學習